Kategorien
Der Tag im Überblick: Alle Meldungen

Intel „Meteor Lake“ 2P+8E CPU abgebildet und kommentiert

Le Comptoir du Hardware hat einen Die-Shot einer 2P+8E-Core-Variante der „Meteor Lake“-CPU geknipst, worauf hin ein Interessanter Kommentar hinterlassen wurde. „Meteor Lake“ wird der erste Prozessor von Intel sein, der die IDM 2.0-Strategie des Unternehmens voll und ganz umsetzt. Der Prozessor ist ein Multi-Chip-Modul aus verschiedenen Kacheln (Chiplets), die jeweils eine bestimmte Funktion haben und auf einem Chip sitzen, der auf einem für diese Funktion am besten geeigneten Silizium-Fertigungsknoten hergestellt wird. Wenn die Chipdesigner von Intel beispielsweise berechnen, dass die iGPU die stromhungrigste Komponente des Prozessors sein wird, gefolgt von den CPU-Kernen, wird die Grafikkachel in einem fortschrittlicheren Prozess gefertigt als die Rechenkachel. Intels „Meteor Lake“- und „Arrow Lake“-Prozessoren werden Chiplets implementieren, die auf den Fertigungsknoten Intel 4, TSMC N3 und Intel 20A hergestellt werden, die jeweils einzigartige Leistungs- und Transistor-Dichte-Eigenschaften aufweisen.

 

Intel Meteor Lake Die

 

Die 2P+8E (2 Performance Cores + 8 Efficiency Cores) Compute Tile ist eine von vielen Varianten von Compute Tiles, die Intel für die verschiedenen SKUs der nächsten Generation der mobilen Core-Prozessoren entwickeln wird. Der Chip ist so beschriftet, dass die beiden großen „Redwood Cove“-P-Kerne und ihre Cache-Slices etwa 35 % der Chipfläche einnehmen, während die beiden „Crestmount“-E-Kern-Cluster (mit jeweils 4 E-Kernen) und ihre Cache-Slices die Hälfte. Die beiden P-Kerne und die beiden E-Kern-Cluster sind über einen Ringbus miteinander verbunden und teilen sich einen L3-Cache. Die Größe der einzelnen L3-Cache-Slices beträgt entweder 2,5 MB oder 3 MB. Bei 2,5 MB beträgt der gesamte L3-Cache 10 MB, bei 3 MB sind es 12 MB. Wie bei allen früheren Generationen ist der L3-Cache für alle CPU-Kerne in der Rechenkachel voll zugänglich.

Jeder „Redwood Cove“ P-Kern verfügt über 2 MB dedizierten L2-Cache, eine Verbesserung gegenüber den 1,25 MB der „Golden Cove“ P-Kerne. Intel wird mehrere Upgrades an den Kernen vornehmen, um die IPC gegenüber „Golden Cove“ zu erhöhen. In jedem „Crestmont“-E-Core-Cluster teilen sich vier „Crestmont“-E-Cores einen 4 MB großen L2-Cache – doppelt so viel wie die 2 MB in den „Gracemont“-E-Core-Clustern der „Alder Lake“-Prozessoren. Diese Kerne werden einen höheren IPC aufweisen und wahrscheinlich in der Lage sein, höhere Taktraten aufrechtzuerhalten; außerdem profitieren sie von dem größeren L2-Cache.

Die CPU-Kerne und der Last-Level-Cache sind die einzigen identifizierbaren Komponenten auf dem Compute Die. Der Rest könnte eine Uncore-Komponente mit eingeschränkter Funktion sein, die die verschiedenen Kacheln miteinander verbindet.

 

Quelle: Intel „Meteor Lake“ 2P+8E Silicon Annotated | TechPowerUp

Kategorien
Der Tag im Überblick: Alle Meldungen

Intel „Meteor Lake“ und „Arrow Lake“ verwenden GPU Chiplets

Intels kommende „Meteor Lake“ und „Arrow Lake“ Client-Mobilprozessoren führen eine interessante Wendung des Chiplet-Konzepts ein. Früher in vage aussehenden IP-Blöcken dargestellt, werfen neue künstlerische Eindrücke des Chips, die von Intel veröffentlicht wurden, Licht auf einen 3-Die-Ansatz, der dem Ryzen „Vermeer“ MCM nicht unähnlich ist. Intels Design hat jedoch einen großen Unterschied und das ist die integrierte Grafik. Intels MCM verwendet einen GPU-Die, der neben dem CPU-Core-Die und dem I/O-Die (SoC) sitzt. Intel bezeichnet seine Chiplets gerne als „Kacheln“ und so wollen wir es auch halten.

 

 

Die Grafikkachel, die CPU-Kachel und die SoC- oder E/A-Kachel werden auf drei verschiedenen Silizium-Fertigungsprozessknoten aufgebaut, je nachdem, inwieweit der neuere Prozessknoten benötigt wird. Die verwendeten Knoten sind Intel 4, Intel 20A (Eigenschaften von 2 nm) und der externe TSMC N3 (3 nm) Knoten. Zu diesem Zeitpunkt wissen wir nicht, welche Kachel was bekommt. Wie es aussieht, verfügt die CPU-Kachel über eine hybride CPU-Kernarchitektur, die aus „Redwood Cove“ P-Kernen und „Crestmont“ E-Kern-Clustern besteht.

 

 


Die Grafikkachel enthält eine iGPU, die auf der Xe-LP-Grafikarchitektur basiert, aber einen fortschrittlichen Knoten nutzt, um die Anzahl der Ausführungseinheiten (EU) deutlich auf 352 zu erhöhen und möglicherweise den Grafiktakt zu steigern. Die SoC- und I/O-Kachel enthält den Plattform-Sicherheitsprozessor, die integrierte Northbridge, die Speicher-Controller, den PCI-Express-Root-Komplex und die verschiedenen Plattform-E/A.

Intel bereitet „Meteor Lake“ für eine Markteinführung im Jahr 2023 vor, wobei die Entwicklung im Jahr 2022 abgeschlossen sein soll, obwohl die Massenproduktion bereits im nächsten Jahr beginnen könnte.

 

 

 

Quelle: Intel „Meteor Lake“ and „Arrow Lake“ Use GPU Chiplets | TechPowerUp

Kategorien
Der Tag im Überblick: Alle Meldungen

Intel kündigt 7nm Meteor Lake an, Launch 2023

Intel ist auf dem besten Weg, seine ersten 10-nm-Consumer-Desktop-Prozessoren in diesem Sommer mit der 12. Generation Alder Lake-S auf den Markt zu bringen, die dann von einem eng verwandten Nachfolger abgelöst wird – Raptor Lake. Intels Meteor Lake-Prozessorfamilie der 14. Generation kommt nach Raptor Lake, um den es heute geht. Intel hat heute bekannt gegeben, dass Meteor Lake in den Startlöchern steht, was bei der gesamten Belegschaft des Unternehmens für große Aufregung gesorgt hat.
Intel-CEO Pat Gelsinger sagte bereits im März, dass das Tape-in im 2. Quartal stattfinden würde und das Unternehmen konnte diese Marke einhalten. Ein Tape-in findet statt, wenn die verschiedenen Komponenten, aus denen moderne Prozessoren bestehen, zu einem monolithischen Paket zusammengefügt werden. Der nächste Schritt ist das Tape-Out, wenn die Mikrotiterplatten gesetzt/finalisiert und bereit sind, für die Fertigung verschickt zu werden.

Meteor Lake soll im Jahr 2023 auf den Markt kommen und einen 7-nm-Enhanced-SuperFin-Prozessknoten verwenden, für diejenigen, die einen Auffrischungskurs benötigen. Es wird gemunkelt, dass Meteor Lake Redwood Cove Performance-Kerne verwenden wird, die mit [derzeit noch unbenannten] Effizienz-Kernen unterstützt werden. 

 

 

Intel Meteor Lake
Über Meteor Lake ist zu diesem Zeitpunkt noch nicht viel bekannt, außer dass es Berichten zufolge weiterhin den LGA-1700-Sockel verwenden wird, der mit Alder Lake-S später in diesem Jahr eingeführt wird. Ebenfalls auf dem Plan für Meteor Lake steht die Unterstützung von DDR5-Speicher und der PCIe-5.0-Schnittstelle, die beide im Jahr 2023 in der „reifen“ Phase sein werden.

Aufgrund der weltweiten Chip-Knappheit, hat sich Intel verpflichtet, 20 Milliarden Dollar in den Bau von zwei neuen Fabriken in Arizona zu investieren. Dies ist ein Versuch von Intel, einen „Wettbewerbsvorteil zu halten, welcher Produktoptimierung, verbesserte Wirtschaftlichkeit und Versorgungssicherheit ermöglicht.“

Intels Investition kommt auch zu einer Zeit, in der TSMC in den nächsten drei Jahren 100 Milliarden Dollar in den Ausbau von Fabriken investiert und Samsung sich verpflichtet hat, in den nächsten zehn Jahren 151 Milliarden Dollar in den Ausbau der Chip-Produktion in seinem Heimatmarkt Südkorea zu investieren.

 

Quelle: Intel Announces 7nm Meteor Lake Tape-In, 14th Gen Core CPUs On Track For 2023 Launch | HotHardware

Die mobile Version verlassen